基于FPGA的2D-DCT处理器研究

基于FPGA的2D-DCT处理器研究

论文摘要

离散余弦变换(DCT)在图像编解码方面应用十分广泛,至今已被JPEG、MPEG1、MPEG2、MPEG4和H26x等国际标准所采用。由于其计算量较大,软件实现往往难以满足实时处理的要求,因而在很多实际应用中需要采用硬件设计的DCT处理电路来满足我们对处理速度的要求。本文所研究的内容就是针对图像处理应用的8×8二维DCT处理核的硬件实现。本文首先介绍了DCT在图像处理中的作用和原理,说明了利用DCT变换实现图像压缩的过程。接着,分析研究了DCT的各种快速算法,总结了前人对DCT快速算法及其实现所做的研究,并指出了其优点与不足。本文利用DCT的行列分离特性,将二维DCT实现转化为两个一维DCT并采用流水线设计技术实现。在一维DCT设计中,根据数据的特点对DA算法的数据进行了优化,通过利用数据的关联性,对占用的存储器数量进行压缩,并把乘法运算转化为移位求和,从而提高了流水线的执行速度,减少了资源占用量。最后,对所设计的DCT处理核进行了综合和时序仿真。结果表明,当使用Altera公司MERCURY系列EP1M350F780C5型FPGA器件时,本文设计的方案能够在80M时钟频率下正确完成8×8的二维DCT的逻辑运算。

论文目录

  • 摘要
  • Abstract
  • 第1章 绪论
  • 1.1 研究背景及意义
  • 1.2 国内外研究情况
  • 1.3 本论文的研究内容
  • 第2章 DCT及其快速算法
  • 2.1 引言
  • 2.2 一维DCT算法的发展概况
  • 2.3 二维DCT变换
  • 2.3.1 原始计算公式
  • 2.3.2 物理意义
  • 2.3.3 二维DCT快速算法
  • 2.4 已有的软、硬件实现方案
  • 2.4.1 基于通用CPU的方案
  • 2.4.2 基于数字信号处理器(DSP)的方案
  • 2.4.3 基于FPGA的方案
  • 2.4.4 基于ASIC方案
  • 2.5 本章小结
  • 第3章 FPGA设计流程与方法
  • 3.1 EDA简介
  • 3.2 FPGA简介
  • 3.2.1 FPGA的基本结构
  • 3.2.2 FPGA的特点
  • 3.3 自顶向下(Top to Down)设计方法
  • 3.4 HDL概述
  • 3.4.1 VHDL语言简介
  • 3.4.2 VHDL设计的特点
  • 3.5 QUARTUS简介
  • 3.6 DCT处理器top-down设计流程
  • 3.7 本章小结
  • 第4章 DCT的设计
  • 4.1 DCT的硬件实现算法设计
  • 4.2 体系结构
  • 4.3 用DA算法计算内积
  • 4.4 本章小结
  • 第5章 DCT仿真
  • 5.1 功能仿真
  • 5.1.1 SIPO模块的设计
  • 5.1.2 RAC模块的设计
  • 5.1.3 第二级SIPO模块的设计
  • 5.1.4 第二级RAC模块的设计
  • 5.1.5 存储中间结果的转置RAM模块设计
  • UNIT的设计'>5.1.6 2-D DCT控制模块CTRLUNIT的设计
  • 5.1.7 整个2-D DCT功能仿真结果
  • 5.2 综合
  • 5.3 时序仿真验证
  • 5.4 实时性分析
  • 5.5 计算精度分析
  • 5.6 本章小结
  • 结论
  • 致谢
  • 参考文献
  • 攻读硕士学位期间发表的论文
  • 相关论文文献

    标签:;  ;  

    基于FPGA的2D-DCT处理器研究
    下载Doc文档

    猜你喜欢