基于RSA算法的电子系统认证芯片的物理设计

基于RSA算法的电子系统认证芯片的物理设计

论文摘要

当今电子产品行业盗版/仿冒现象极为普遍。为了对所开发的电子产品进行保护,防止被不法厂商克隆、复制,采用ASIC的方法设计基于硬加密技术的电子系统认证芯片。将该电子系统认证芯片嵌入到电子产品中,主机与芯片以密文的方式进行通信,收到正确的反馈后,电子系统才能正常工作。该电子系统认证芯片采用密钥为1024bit的RSA解密算法,可以保证安全性的要求;采用I2C总线与主机进行通讯,具有接口兼容性好、接口信号线少等优点,可以使芯片广泛应用于如数字机顶盒、IC智能卡等多种场合中。在前端导出可测性门级网表的基础上,采用SMIC0.18μm CMOS工艺,以实现面积优化、时序收敛、功耗满足要求为目标,在Cadence SoC Encounter平台上进行版图设计。首先,以75%的利用率对芯片进行了预设计,进行了粗略的布图规划(电源规划时仅设计了宽度为10μm的电源环),并进行了布局、时钟树综合、详细布线等步骤。对预设计的布线拥塞情况、时序和功耗进行分析的结果表明:芯片中布线拥塞情况并不严重,时序收敛,预估功耗值为121.46mW,但是芯片中存在电压降和电迁移的违规。接着,根据预设计的分析结果,在正式设计时将芯片的利用率提高到80%,面积比预设计时减小了约0.09mm2。为了解决预设计中存在的电压降和电迁移违规,对该电子系统认证芯片进行了详细的电源规划,设计了宽度为17μm的双层电源环,并且设计了7对纵向电源条和3对横向电源条,使得最终的芯片满足电压降和电迁移的要求。在整个版图设计的过程中,采用时序驱动设计流程,并且贯穿着静态时序分析,以保证各个阶段的设计均符合时序收敛的原则。进行版图设计之后,采用Calibre工具对该电子系统认证芯片进行物理验证,包括设计规则检查(DRC)、天线规则检查、电气规则检查(ERC)和版图与原理图一致性检查(LVS)。针对存在的问题,进行相应的修改后,最终通过了物理验证,并成功地导出了GDSII文件。另外,为了保证在版图设计过程中该芯片逻辑功能的正确性,采用Formality对芯片进行了逻辑等效验证,最终通过了逻辑等效验证。本论文完成了基于RSA算法的电子系统认证芯片的物理设计,最终的设计满足时序收敛、功耗要求以及可制造性的要求。该电子系统认证芯片实现了以下指标:时钟频率为44MHz,芯片面积约为3.53mm2,功耗为121.87mW。

论文目录

  • 中文摘要
  • Abstract
  • 目录
  • 第一章 引言
  • 1.1 课题背景及意义
  • 1.1.1 电子系统保护的意义
  • 1.1.2 电子系统保护方案
  • 1.2 课题发展现状
  • 1.2.1 电子系统认证芯片的发展现状
  • 1.2.2 加密算法的发展现状
  • 1.2.3 集成电路的发展现状
  • 1.3 本文研究内容及结构安排
  • 第二章 电子系统认证芯片前端设计概述
  • 2.1 RSA 算法原理
  • 2.1.1 RSA 算法中公钥和私钥的产生
  • 2.1.2 RSA 算法的加解密过程
  • 2.1.3 RSA 算法的硬件实现
  • 2.2 系统总体架构
  • 2.3 电子系统认证工作流程
  • 2.4 前端设计综合结果
  • 2.5 本章小结
  • 第三章 电子系统认证芯片的版图设计
  • 3.1 版图设计基本流程介绍
  • 3.1.1 设计平台介绍
  • 3.1.2 版图设计基本流程
  • 3.2 布图规划
  • 3.2.1 设计导入
  • 3.2.2 确定芯片信息
  • 3.2.3 芯片 I/O 规划
  • 3.2.4 模块放置
  • 3.2.5 电源规划
  • 3.3 布局
  • 3.3.1 标准单元的放置
  • 3.3.2 扫描链重组
  • 3.4 时钟树综合
  • 3.4.1 时钟信号的特性参数
  • 3.4.2 时钟树综合前的准备
  • 3.4.3 时钟树综合方法与结果
  • 3.5 布线
  • 3.5.1 全局布线
  • 3.5.2 详细布线
  • 3.6 静态时序分析和优化
  • 3.6.1 静态时序分析
  • 3.6.2 时序优化
  • 3.6.3 静态时序分析结果
  • 3.7 功耗与信号完整性分析
  • 3.7.1 功耗分析
  • 3.7.2 电压降分析
  • 3.7.3 电迁移分析
  • 3.7.4 串扰分析
  • 3.8 本章小结
  • 第四章 电子系统认证芯片的物理验证
  • 4.1 设计规则检查
  • 4.1.1 导出 GDSII 文件
  • 4.1.2 DRC 结果与解决方法
  • 4.2 天线规则检查
  • 4.3 电气规则检查
  • 4.4 版图与原理图一致性检查
  • 4.4.1 Spice 网表文件的准备
  • 4.4.2 版图添加标签
  • 4.4.3 LVS 结果
  • 4.5 等价性验证
  • 4.6 本章小结
  • 结论
  • 一、本文结论
  • 二、本文特色
  • 三、展望
  • 参考文献
  • 致谢
  • 个人简历、在学期间的研究成果及发表的学术论文
  • 相关论文文献

    • [1].基于三素数改进RSA算法的智能小区数据信息保护研究[J]. 冶金管理 2020(15)
    • [2].关于RSA信息安全加密系统技术的思考[J]. 电子世界 2017(13)
    • [3].基于RSA的二维码研究与应用[J]. 电子世界 2016(21)
    • [4].基于RSA算法的公钥密码教学方法研究[J]. 福建电脑 2014(10)
    • [5].RSA信息安全加密系统技术的研究[J]. 电脑迷 2017(01)
    • [6].小剂量阿司匹林联合肝素、地屈孕酮治疗复发性流产(RSA)的临床疗效探究[J]. 实用妇科内分泌电子杂志 2019(29)
    • [7].RSA加密二维码在防伪溯源系统中的应用[J]. 宁波工程学院学报 2016(04)
    • [8].以RSA体制为核心的《电子商务安全》课程案例教学设计[J]. 现代计算机(专业版) 2016(28)
    • [9].RSA数字签名解决短信欺骗[J]. 计算机技术与发展 2013(01)
    • [10].医学期刊编辑群体中成人心理弹性量表RSA的信效度分析[J]. 中国煤炭工业医学杂志 2013(06)
    • [11].基于RSA的广播多重数字签名方案[J]. 计算机安全 2013(07)
    • [12].一种RSA公钥体制的数学模型及应用[J]. 高等数学研究 2011(01)
    • [13].一种利用RSA令牌实现双因素认证的解决方案[J]. 网络安全技术与应用 2009(06)
    • [14].基于中国剩余定理的RSA系统中的出错攻击与防范[J]. 哈尔滨商业大学学报(自然科学版) 2009(04)
    • [15].基于RSA算法与对称加密算法的安全通信系统的设计[J]. 计算机安全 2008(06)
    • [16].一个实用的RSA门限签名方案[J]. 计算机工程与应用 2008(24)
    • [17].基于RSA算法的图像加密系统设计与实现[J]. 长江大学学报(自科版) 2016(25)
    • [18].基于RSA的数字签名体制研究[J]. 北华航天工业学院学报 2014(05)
    • [19].基于RSA算法的数字签名研究[J]. 网络安全技术与应用 2015(01)
    • [20].基于RSA的前向安全的代理签名方案[J]. 计算机工程与应用 2011(24)
    • [21].基于RSA密码体制的选择密文攻击的研究[J]. 网络安全技术与应用 2009(01)
    • [22].基于RSA密码体制的代理签名方案的设计与分析[J]. 中国西部科技 2009(15)
    • [23].一种基于呼叫中心的RSA算法应用研究[J]. 河南城建学院学报 2009(05)
    • [24].基于RSA算法的数字签名技术研究[J]. 河南机电高等专科学校学报 2008(05)
    • [25].RSA加密算法在网络监听中的应用[J]. 嘉应学院学报 2008(06)
    • [26].基于RSA算法实现数字机顶盒系统保护的芯片设计[J]. 福州大学学报(自然科学版) 2010(06)
    • [27].基于RSA的入侵容忍密钥分发方案[J]. 计算机工程与设计 2009(17)
    • [28].基于RSA数字签名的软件保护方案[J]. 计算机应用与软件 2008(03)
    • [29].安全增强的基于RSA可验证门限签名方案[J]. 计算机与信息技术 2008(03)
    • [30].与RSA不动点有关的一个渐近表示(Ⅱ)[J]. 科技通报 2008(04)

    标签:;  ;  ;  ;  

    基于RSA算法的电子系统认证芯片的物理设计
    下载Doc文档

    猜你喜欢